Crack software Archive
software download tutorial manual

Mentor Graphics Calibre 2013.2.18

Calibre® is the only sign-off verification toolset that is integrated with the full range of design tools used throughout the design flow. Calibre provides robust and extensible interfaces to custom design, place and route (PNR), and a wide range of specialty design tools. These interfaces bring the power of Calibre’s sign-off engines to the designer throughout the design flow, enabling early detection and correction of manufacturing issues.
Interface Types
Standard Invocation & Result Viewing Interfaces

Calibre’s standard interfaces enable users to run verification on the layout and schematics within a design tool, and highlight the results back into the design tool. Calibre Interactive™ interfaces with the design tool to invoke batch Calibre, and once the interface is established, any appropriate Calibre flow— DRC, LVS, PERC, PEX, or DFM—can be executed from the design tool. Calibre RVE™ is used to navigate the results and highlight them back into the design tool. If the design tool supports schematics, Calibre RVE can also highlight in the schematics.
Database Interfaces

Calibre can read and write from GDSII, OASIS®, OpenAccess, LEF/DEF, and the Synopsys Milkyway databases. Reading directly from the database eliminates the time required to open the database in the design tool, and jobs can be launched directly to the compute grid. Once batch processing is complete, Calibre can back-annotate results to the original database. While customers have developed many different applications of this capability, the most common is back-annotation of results from Calibre SmartFill or other Calibre YieldEnhancer modifications to the design database.

Course Highlights

If you have a Calibre hierarchical DRC license, all of the powerful capabilities of Calibre eqDRC are already yours to use – no additional license is required!

Referred to as everything from “DRC on steroids” to “the next generation of verification,” Calibre eqDRC gives you the competitive edge you need get through these difficult times.

This course teaches you how to harness the power of Calibre eqDRC to:

Provide enhanced debugging support for designers
Write new rule decks more efficiently
Improve performance
Shrink rule deck size
Check for known manufacturability issues that were previously impossible to check
Translate in-house expertise into next-generation rules

Taught by industry experts and filled with dozens of examples, real-life labs, and applications, this course provides theory and concepts, tips and best practices, and hands-on experience. This all adds up to a true competitive edge.
You will learn how to

Create single and multi-layer properties
Enhance existing rules using DFM PROPERTY to provide designers with information about DRC violations
Write complex checks involving conditional and chained expressions
Transfer properties from one layer to another
Simplify coding by translating commonly-used expressions into DFM Functions
Write connectivity-based rules
Check for design problems resulting from multi-layer interdependencies
Read and write layout object properties and text from your Calibre nmDRC run

Hands-on labs

Enhancing spacing rules to support debugging
Deriving layers to measure
Writing checks to find gate orientation and aspect ratios
Experimenting with clustering options
Finding min and max spacing to hookup.
Writing and using a spacing function in checks for three different metal layers
Writing a check that will find the width of a line at the location where the spacing is most narrow
product:Mentor Graphics Calibre 2013.2.18
Lanaguage:english
Platform:Linux
Size:1DVD